主要内容

外部内存通道协议

为写入器和读取器添加到通道模型中的信号接口是算法用来与通道通信的协议。协议不会改变外部内存通道模型的核心,它操作于突发事务。它们只控制数据如何进出这些通道。

对于FPGA或ASIC ip,典型的协议包括流数据、流视频数据和可寻址数据传输。对于软件来说,典型的算法协议包括简单的数据缓冲区,关于中断、缓冲区管理和任务调度的细节留给底层操作系统。

配置内存通道块支持各种协议。

AXI4流通过DMA传输到软件

AXI4-Stream Software配置提供了从硬件到软件的软件流协议。当处理器充当来自内存的读取器时,选择此配置。该协议包括一个触发器配置任务管理器块接收。触发器发出信号,表示内存缓冲区已满,可以进行读取。有关AXI4-stream协议的更多信息,请参见AXI4-Stream接口

软件到AXI4-Stream通过DMA

通过DMA配置的软件到AXI4-Stream提供了从软件到硬件的软件流协议。当处理器充当内存的写入器时,选择此配置。该协议包括一个触发器配置,任务管理器块接收该配置。触发器发出信号,表示内存缓冲区是空的,可以写入。然后处理器启动写事务。成功完成写事务后,处理器从流写块。当状态为false时,处理器对该信号做出反应。有关AXI4-stream协议的更多信息,请参见AXI4-Stream接口

AXI4流FIFO

AXI4-Stream配置为数据流提供了一个简单的数据有效和就绪协议。您可以使用HDL Coder™从该协议生成一个完全兼容的AXI4-Stream接口。

对于数据流通道,内存寻址是自动的。通道负责像DMA核心那样将流转换为缓冲区地址。流与外部存储器中的托管缓冲区之间的关系是通过一个称为“缓冲区结束”的信号tlastAXI4-Stream。有关AXI4-stream协议的更多信息,请参见AXI4-Stream接口

流视频先进先出

AXI4-Stream视频先进先出配置提供了类似于AXI4 Stream先进先出的数据有效和就绪协议。该协议还具有额外的信号来标记视频线的开始或结束以及视频帧的开始或结束。该协议与HDMI的处方而且HDMI Tx块,可与SoC Blockset™Xilinx支持包®设备.您可以使用HDL Coder从该协议生成一个完全兼容的axis - stream视频流接口。有关HDMI块的信息,请参阅SoC Blockset支持包的文档。

对于流媒体视频数据通道,内存寻址是自动的。通道负责像DMA核心那样将流转换为缓冲区地址。流通过划分线和帧的像素控制总线信号与外部存储器中的托管缓冲区相关。有关更多信息,请参见AXI4-Stream视频接口

流视频帧缓冲器

AXI4-Stream视频帧缓冲配置提供了与AXI4流视频FIFO相同的信令,以及用于帧缓冲同步的附加控制信号。该协议与HDMI的处方而且HDMI Tx块,可与用于Xilinx设备的SoC块集支持包.您可以使用HDL Coder从该协议生成一个完全兼容的axis - stream视频流接口。有关HDMI块的信息,请参阅SoC Blockset支持包的文档。

对于流媒体视频数据通道,内存寻址是自动的。通道负责像DMA核心那样将流转换为缓冲区地址。流与外部存储器中的托管缓冲区的关系是通过像素控制总线信号来实现的,该信号划分了行和帧。

AXI4随机存取

AXI4配置提供了一个简单的、直接的内存互连接口。与前两个流协议不同的是,该协议允许算法通过直接提供地址和管理突发传输来充当内存主处理器。该协议表示简化的主协议。您可以使用HDL Coder从该协议生成一个完全兼容的axis -4接口。有关简化的AXI4接口的更多信息,请参见简化的AXI4主接口

另请参阅

||||

相关的话题

Baidu
map