主要内容

实体发电机

生成实体

  • 库:
  • SimEvents

  • 实体生成块

描述

实体发电机块生成实体。实体是可以在离散事件模拟中定义的感兴趣的离散项。实体可以携带标量、总线或向量数据。实体的含义取决于模型。实体可以表示排队系统中的客户、从远程控制器到执行器的数据包或您定义的任何离散项。

默认情况下,块实体生成方法为基于时间的.方法指定的代间时间来生成实体,从输入信号或统计分布。看到SimEvents模型中的实体,以获取有关创建基于时间的随机实体的更多信息。

该块还创建基于事件的实体。选择基于事件的随着生成方法用于外部事件,以指定实体的代间时间。有关示例,请参见当事件发生时生成实体

在生成实体或实体退出块时自定义操作事件动作选项卡,在产生行动,或退出操作字段,进入MATLAB®代码。有关更多信息,请参见事件和事件处理

港口

输入

全部展开

依赖关系

若要启用此端口,请单击实体的一代选项卡,选择基于事件的生成方法

数据类型:||int8|int16|int32|int64|uint8|uint16|uint32|uint64|布尔|枚举|公共汽车|不动点

依赖关系

若要启用此端口,请单击实体的一代选项卡,选择基于时间的生成方法而且信号端口时间源

数据类型:

输出

全部展开

生成的实体离开生成器的输出端口。

数据类型:||int8|int16|int32|int64|uint8|uint16|uint32|uint64|布尔|枚举|公共汽车|不动点

已经离开区块的实体数量。

依赖关系

若要启用此端口,请单击统计数据选项卡并选择标记的框离开的实体数量d

数据类型:

输出1对于挂起的实体,和0否则。

依赖关系

要启用此端口,请选择统计数据>块中挂起的实体,pe

数据类型:

输出生成实体之间的平均时间。

依赖关系

要启用此端口,请选择统计数据>平均代际时间,w

数据类型:

参数

全部展开

选择实体生成方法。选择基于时间的利用输入信号或统计分布的代际时间生成实体。选择基于事件的对于外部事件,以确定实体的代际时间。

编程使用

块参数GenerationMethod
类型:字符向量
基于时间的|基于事件的
默认的基于时间的

指定实体代间时间的源。

  • 选择对话框指定实体生成之间的固定时间段。

  • 选择信号端口根据输入信号生成实体。

  • 选择MATLAB的行动定义一个MATLAB脚本,定义代际时间表示为dt

有关为实体指定代际时间的详细信息,请参见指定实体的代间时间

依赖关系

属性时,此参数可见生成方法设置为基于时间的

编程使用

块参数TimeSource
类型:字符向量
“对话框”|“信号端口”|“MATLAB行动”
默认的“对话框”

指定实体间生成的时间间隔。例如,如果1,块等待1实体生成之间的模拟时间。看到的,指定实体的代间时间获取更多信息。

可调:是的

依赖关系

该参数在何时可见生成方法设置为基于时间的

编程使用

块参数
类型:字符向量
' 1 '|标量
默认的' 1 '

使用MATLAB代码指定服务时间。dt指定实体生成之间的时间间隔。您可以手动指定dt或使用插入模式按钮以使用重复序列或从分布生成实体。块每次准备好生成实体时都会使用这个参数。有关示例,请参见指定实体的代间时间

依赖关系

该参数在何时可见服务时间来源设置为MATLAB的行动

编程使用

块参数IntergenerationTimeAction
类型:字符向量
: MATLAB代码
默认的'dt = rand(1,1);'

在模拟开始时生成实体。

编程使用

块参数GenerateEntityAtSimulationStart
类型:字符向量
“上”|“关闭”
默认的“上”

选择要生成的实体类型。

  • 匿名类型有一个与之关联的数据值。

  • 结构化类型(默认值)包括您可以指定的名称和初始值属性。

    • 您可以通过单击将多个属性附加到一个实体

    • 单击,可以删除属性

    • 您可以通过单击更改属性的顺序而且

    • 你可以把结构化通过单击将实体输入到总线对象

  • 总线对象类型使您可以将总线对象生成为实体。

    点击发射类型编辑器打开类型编辑器以生成总线对象。总线对象可以是另一个总线对象的元素,可用于在附加到实体的数据中创建层次结构。

有关更多信息,请参见SimEvents模型中的实体

编程使用

块参数EntityType
类型:字符向量
“结构化”|“匿名”|“总线对象”
默认的“结构化”

确定生成实体的优先级。该值越低优先级越高。有关详细信息,请参见使用实体属性和实体优先级

编程使用

块参数EntityPriority
类型:字符向量
“300”|标量
默认的“300”

确定生成的实体的名称。

依赖关系

该参数在何时可见实体类型设置为总线对象结构化

编程使用

块参数EntityTypeName
类型:字符向量
“实体”|字符向量
默认的“实体”

设置匿名实体数据初始值。此值不能为int64类型或定点类型。

依赖关系

设置时可见实体类型匿名

编程使用

块参数DataInitialValue
类型:字符向量
' 0 '|标量|向量|矩阵
默认的' 0 '

定义实体属性名称。

请注意

完成后,您可以将结构化实体类型导出为带有名称的总线对象实体类型名称,到基本工作区。类时导出总线对象MATLAB离散事件系统而且离散事件图块。

依赖关系

该参数在何时可见实体类型设置为结构化

编程使用

块参数AttributeName
类型:字符向量
“Attribute1”|字符向量
默认的“Attribute1”

指定实体属性初始值。该参数在何时可见实体类型设置为结构化.此值不能为定点类型。

编程使用

块参数AttributeInitialValue
类型:字符向量
1|标量
默认的' 1 '

方法中定义行为事件动作参数。的生成操作在生成实体时调用退出动作在实体退出块之前被调用。

编程使用

块参数GenerateAction, ExitAction
类型:字符向量
: MATLAB代码
默认的

已经离开区块的实体数量。

编程使用

块参数NumberEntitiesDeparted
类型:字符向量
“上”|“关闭”
默认的“关闭”

指示块中是否存在尚未分离的实体。取值为1对于挂起的实体,和0否则。这个块最多可以有一个挂起实体,因为它的存储容量是一个。如果有一个现有的挂起实体,该块在挂起实体离开块之前不会生成另一个实体。

编程使用

块参数PendingEntityInBlock
类型:字符向量
“上”|“关闭”
默认的“关闭”

输出生成实体之间的平均时间。平均代际时间,w是总生成时间与生成实体总数的比率。

编程使用

块参数AverageIntergenerationTime
类型:字符向量
“上”|“关闭”
默认的“关闭”

版本历史

在R2016a中引入

Baidu
map