主要内容

蓝牙

蓝牙®PHY、协议和系统级建模

通信工具箱™库的蓝牙协议提供符合标准的功能和参考示例,用于设计、建模、模拟和测试蓝牙通信系统的射频物理层(RF-PHY)。该库支持蓝牙低能量(BLE)和蓝牙基本速率/增强数据速率(BR/EDR)波形的协议层建模、网络建模、链路级仿真、黄金参考验证和测试波形生成。

使用该库,您可以配置、模拟、测量和分析端到端通信链路。您可以修改或自定义库函数,并将其作为实现蓝牙系统和设备的参考模型。BLE功能和配置对象支持PHY层和协议层处理,分配设备角色和模式,提供连接管理服务。使用库特性和示例生成和解码蓝牙BR/EDR波形。利用BLE测向函数和对象估计到达角(AoA)和离开角(AoD)。该库提供了将生成和恢复的BLE链路层报文写入PCAP (packet capture next generation)和PCAPNG (packet capture next generation)文件的函数。

该库包括参考示例,以帮助您建模蓝牙设备或蓝牙设备网络的行为。采用所提供的参考设计来探索多节点网络中的通信,并研究您设计的系统性能。该库包括BLE网状网络参考示例,用于研究网络层泛洪和能量剖面。使用库特性和示例来帮助您建模和分析来自其他网络的干扰以及与其他网络共存的干扰。

关键特性

  • 符合蓝牙5.0和5.1标准的型号

  • 波形生成和解码

  • 信号处理功能,包括信道编码、同步、信道估计和均衡

  • 链接级模拟与参考示例

  • 协议消息的生成和解码,包括链路层、L2CAP、ATT和GAP

  • 信道选择和跳频算法

  • BLE测向功能

  • PCAP和PCAPNG文件写入器对象用于导出BLE链路层报文

  • 网络建模实例,包括BLE网格、干扰和共存的建模

  • C和c++代码生成支持

要使用此功能,请下载并安装通信工具箱图书馆蓝牙协议附加组件。

  • PHY组件
    物理层选项,参数化,波形生成和分析
  • 测试和测量
    蓝牙射频物理层(RF-PHY)发射机和接收机的测试和测量
  • 协议组件
    PHY之上的蓝牙协议层的功能、配置对象和系统对象
  • 系统建模
    系统级蓝牙建模
Baidu
map