主要内容

仿真软件覆盖

在模型和生成的代码中度量测试覆盖率

动态仿真模块®覆盖™执行模型和代码覆盖分析,测量模型和生成代码中的测试完整性。它应用行业标准度量,如决策、条件、修改的条件/决策覆盖率(MCDC)和关系边界覆盖率,以评估模型、软件在环(SIL)和处理器在环(PIL)中模拟测试的有效性。您可以使用缺失的覆盖率数据来查找测试中的空白、缺失的需求或未预期的功能。

仿真软件覆盖生成交互式报告,显示您的模型,C/ c++ s函数,MATLAB®函数和由嵌入式编码器生成的代码®已经锻炼过了。您可以在块和子系统中突出显示覆盖率结果,以可视化测试中的差距。为了评估测试的完整性,您可以从多个测试运行中积累覆盖率数据,以及查看通过单元和系统测试实现的覆盖率。覆盖结果可以追溯到需求和测试。您可以应用过滤器从报道中排除块,并在报告中说明遗漏的报道。

对行业标准的支持可通过DO资格套件而且IEC认证套件

开始

学习Simulink Coverage的基础知识

为模型收集保险

测量模型的覆盖率,识别设计中未经测试的元素

收集代码的保险范围

测量模型元素或从模型生成的代码的覆盖率

管理覆盖率数据

访问、管理和汇总覆盖结果

分析覆盖率并查看结果

在模型中查看覆盖突出显示,生成覆盖报告,跟踪测试和需求的结果

缺少覆盖范围

筛选覆盖率结果并解决差距

验证与验证

使用Simulink产品来2022世界杯八强谁会赢?测试模型和代码,检查设计错误,检查标准,测量覆盖率,并验证系统

工具资质和认证

有资格仿真软件覆盖进行DO和IEC认证

Baidu
map