高级合成

在asic或fpga中从高层次抽象实现算法

高级合成是将设计的高抽象级描述转换为用于传统输入的寄存器传输级描述的过程ASIC和FPGA实现工作流。根据高级合成工具的不同,可以使用各种方法表示这种高级设计描述,而生成的RTL则表示为可合成的Verilog®或硬件描述语言(VHDL)®

在高抽象级别上工作可以让硬件设计人员专注于在满足项目需求的硬件架构上下文中开发功能。因为很多ASIC和FPGA设计从MATLAB中的算法开始®和仿真软件®这些都是自然环境设计和验证。

通过高级合成,硬件设计人员可以专注于高级别,而无需实现细节,可以轻松调整更改,跨项目重用,并提高生产效率功能验证

高级合成确实需要一些硬件架构细节,例如并行性、适当的计时概念,以及硬件数据类型,通常是定点的。大多数高级合成用户依赖于像Simulink这样的图形环境来可视化体系结构和数据流。一些高级合成产品,如HDL Coder™提供自动定点转换的RTL实现本机浮点操作。

硬件设计师还可以使用:

参见:高密度脂蛋白编码器高密度脂蛋白验证器定点设计师

Baidu
map