仿真软件覆盖

模型覆盖

测量模拟测试覆盖了多少设计,以评估测试的有效性和完整性。分析在基于需求的测试期间收集的覆盖率,以确定测试中是否存在任何空白、缺失的需求或未预期的功能。

代码覆盖率

测量用嵌入式Coder生成的代码或在Simulink中调用的代码的软件在环(SIL)和处理器在环(PIL)测试的覆盖率®模型。

管理汇总的覆盖率结果

来自多个模拟的聚合覆盖。查看从单元测试中获得的系统测试覆盖率。

覆盖率度量

度量各种度量的模型和代码覆盖率,包括决策、条件、MCDC、关系边界和信号范围。

弥补缺失的保险范围

过滤模型构造,防止完整的测试覆盖,例如防御性建模模式,将重点放在测试您设计的其他部分上。

生成覆盖率报告

生成详细的报告,其中包括在所选指标上积累的覆盖结果。查看模型元素和测试的需求跟踪细节。

Baidu
map