主要内容

仿真软件覆盖

度量模型和生成代码中的测试覆盖率

动态仿真模块®覆盖™执行模型和代码覆盖率分析,测量模型和生成代码中的测试完整性。它应用诸如决策、条件、修改条件/决策覆盖率(MCDC)和关系边界覆盖率等行业标准度量来评估模型、软件在环(SIL)和处理器在环(PIL)中模拟测试的有效性。您可以使用缺失的覆盖率数据来发现测试中的空白、缺失的需求或意想不到的功能。

仿真软件覆盖生成交互式报告,显示您的模型,C/ c++ S-functions, MATLAB®函数,以及由嵌入式编码器生成的代码®已经锻炼过了。您可以突出显示块和子系统中的覆盖结果,以可视化测试中的差距。为了评估测试的完整性,您可以从多个测试运行中积累覆盖率数据,以及通过单元和系统测试来查看覆盖率。覆盖结果可以追溯到需求和测试。您可以应用过滤器来排除覆盖中的块,并在报告中证明丢失的覆盖。

对行业标准的支持可通过DO资格套件而且IEC认证套件

开始

学习Simulink覆盖的基础知识

收集模型的覆盖范围

测量模型的覆盖率,识别设计中未测试的元素

收集代码覆盖率

度量模型元素或从模型生成的代码的覆盖率

管理覆盖率数据

访问、管理和汇总覆盖结果

分析覆盖率并查看结果

在模型中查看覆盖高亮显示,生成覆盖报告,跟踪测试和需求的结果

解决缺失的覆盖范围

过滤覆盖结果并解决差距

验证与验证

使用Simulink产品来2022世界杯八强谁会赢?测试模型和代码,检查设计错误,检查标准,测量覆盖率,并验证系统

工具确认和认证

有资格仿真软件覆盖用于DO和IEC认证

Baidu
map